Your cart is empty

MAX II EPM240 CPLD Mini Development Board

US $8.50
Always Free Shipping
  • Model: EPM240-Mini
  • Shipping Weight: 30g
  • 42 Units in Stock

Description

This is a smallest system includes power, clock, RST circuit, and all pins layout for MAX II EPM240 chip. You can use it as a EPM240 CPLD development platform for your CPLD project.

Specification

CPLD EPM240T100C5N
Onboard Voltage Regulator AMS1117 3.3V
Resources one Programmable LED
Input Voltage DC 5V
JTAG Port Available; 5x2 pins; Compatiable with Byte-Blaster / USB-Blaster
On/Off Switch Available
IO pins All pins from CPLD are Labelled and available onboard
PCB Size 50mm x 70mm
Crystal 50 MHz

Document


Add to Cart: